Search

Shengjun Wang

Examiner (ID: 238, Phone: (571)272-0632 , Office: P/1627 )

Most Active Art Unit
1627
Art Unit(s)
1617, 1627
Total Applications
2560
Issued Applications
1072
Pending Applications
239
Abandoned Applications
1249

Applications

Application numberTitle of the applicationFiling DateStatus
Array ( [id] => 4348037 [patent_doc_number] => 06214689 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-04-10 [patent_title] => 'Apparatus for manufacturing semiconductor device, method of manufacturing capacitor of semiconductor device thereby, and resultant capacitor' [patent_app_type] => 1 [patent_app_number] => 9/258792 [patent_app_country] => US [patent_app_date] => 1999-03-01 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 8 [patent_figures_cnt] => 10 [patent_no_of_words] => 4537 [patent_no_of_claims] => 6 [patent_no_of_ind_claims] => 1 [patent_words_short_claim] => 87 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/214/06214689.pdf [firstpage_image] =>[orig_patent_app_number] => 258792 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/258792
Apparatus for manufacturing semiconductor device, method of manufacturing capacitor of semiconductor device thereby, and resultant capacitor Feb 28, 1999 Issued
Array ( [id] => 4405652 [patent_doc_number] => 06232204 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-05-15 [patent_title] => 'Semiconductor manufacturing system with getter safety device' [patent_app_type] => 1 [patent_app_number] => 9/252023 [patent_app_country] => US [patent_app_date] => 1999-02-16 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 9 [patent_figures_cnt] => 9 [patent_no_of_words] => 8957 [patent_no_of_claims] => 11 [patent_no_of_ind_claims] => 1 [patent_words_short_claim] => 177 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/232/06232204.pdf [firstpage_image] =>[orig_patent_app_number] => 252023 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/252023
Semiconductor manufacturing system with getter safety device Feb 15, 1999 Issued
Array ( [id] => 4235095 [patent_doc_number] => 06143582 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2000-11-07 [patent_title] => 'High density electronic circuit modules' [patent_app_type] => 1 [patent_app_number] => 9/249012 [patent_app_country] => US [patent_app_date] => 1999-02-12 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 25 [patent_figures_cnt] => 67 [patent_no_of_words] => 10165 [patent_no_of_claims] => 16 [patent_no_of_ind_claims] => 2 [patent_words_short_claim] => 72 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/143/06143582.pdf [firstpage_image] =>[orig_patent_app_number] => 249012 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/249012
High density electronic circuit modules Feb 11, 1999 Issued
Array ( [id] => 4405395 [patent_doc_number] => 06171874 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-01-09 [patent_title] => 'Non-defect image and data transfer and storage methodology' [patent_app_type] => 1 [patent_app_number] => 9/247242 [patent_app_country] => US [patent_app_date] => 1999-02-09 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 2 [patent_figures_cnt] => 2 [patent_no_of_words] => 2373 [patent_no_of_claims] => 4 [patent_no_of_ind_claims] => 1 [patent_words_short_claim] => 120 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/171/06171874.pdf [firstpage_image] =>[orig_patent_app_number] => 247242 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/247242
Non-defect image and data transfer and storage methodology Feb 8, 1999 Issued
Array ( [id] => 4257697 [patent_doc_number] => 06204084 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-03-20 [patent_title] => 'Nitride system semiconductor device and method for manufacturing the same' [patent_app_type] => 1 [patent_app_number] => 9/247091 [patent_app_country] => US [patent_app_date] => 1999-02-09 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 22 [patent_figures_cnt] => 54 [patent_no_of_words] => 18533 [patent_no_of_claims] => 12 [patent_no_of_ind_claims] => 5 [patent_words_short_claim] => 287 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/204/06204084.pdf [firstpage_image] =>[orig_patent_app_number] => 247091 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/247091
Nitride system semiconductor device and method for manufacturing the same Feb 8, 1999 Issued
Array ( [id] => 4358352 [patent_doc_number] => 06168964 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-01-02 [patent_title] => 'Method of fabricating a semiconductor light emitting device with etched end surface' [patent_app_type] => 1 [patent_app_number] => 9/239772 [patent_app_country] => US [patent_app_date] => 1999-01-29 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 4 [patent_figures_cnt] => 7 [patent_no_of_words] => 2437 [patent_no_of_claims] => 4 [patent_no_of_ind_claims] => 1 [patent_words_short_claim] => 149 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/168/06168964.pdf [firstpage_image] =>[orig_patent_app_number] => 239772 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/239772
Method of fabricating a semiconductor light emitting device with etched end surface Jan 28, 1999 Issued
Array ( [id] => 1561019 [patent_doc_number] => 06362020 [patent_country] => US [patent_kind] => B1 [patent_issue_date] => 2002-03-26 [patent_title] => 'Process of forming deposited film, process of producing semiconductor element substrate, and process of producing photovoltaic element' [patent_app_type] => B1 [patent_app_number] => 09/238572 [patent_app_country] => US [patent_app_date] => 1999-01-28 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 8 [patent_figures_cnt] => 12 [patent_no_of_words] => 5172 [patent_no_of_claims] => 32 [patent_no_of_ind_claims] => 3 [patent_words_short_claim] => 58 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/362/06362020.pdf [firstpage_image] =>[orig_patent_app_number] => 09238572 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/238572
Process of forming deposited film, process of producing semiconductor element substrate, and process of producing photovoltaic element Jan 27, 1999 Issued
Array ( [id] => 4259103 [patent_doc_number] => 06258684 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-07-10 [patent_title] => 'Method of fabricating semiconductor memory device having a soi structure' [patent_app_type] => 1 [patent_app_number] => 9/233419 [patent_app_country] => US [patent_app_date] => 1999-01-20 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 4 [patent_figures_cnt] => 9 [patent_no_of_words] => 3117 [patent_no_of_claims] => 23 [patent_no_of_ind_claims] => 2 [patent_words_short_claim] => 175 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/258/06258684.pdf [firstpage_image] =>[orig_patent_app_number] => 233419 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/233419
Method of fabricating semiconductor memory device having a soi structure Jan 19, 1999 Issued
Array ( [id] => 4407311 [patent_doc_number] => 06238992 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-05-29 [patent_title] => 'Method for manufacturing resistors' [patent_app_type] => 1 [patent_app_number] => 9/228222 [patent_app_country] => US [patent_app_date] => 1999-01-11 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 5 [patent_figures_cnt] => 5 [patent_no_of_words] => 2333 [patent_no_of_claims] => 16 [patent_no_of_ind_claims] => 3 [patent_words_short_claim] => 158 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/238/06238992.pdf [firstpage_image] =>[orig_patent_app_number] => 228222 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/228222
Method for manufacturing resistors Jan 10, 1999 Issued
Array ( [id] => 4347769 [patent_doc_number] => 06214671 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-04-10 [patent_title] => 'Method of forming dual gate structure' [patent_app_type] => 1 [patent_app_number] => 9/223151 [patent_app_country] => US [patent_app_date] => 1998-12-30 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 4 [patent_figures_cnt] => 10 [patent_no_of_words] => 2038 [patent_no_of_claims] => 14 [patent_no_of_ind_claims] => 3 [patent_words_short_claim] => 138 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/214/06214671.pdf [firstpage_image] =>[orig_patent_app_number] => 223151 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/223151
Method of forming dual gate structure Dec 29, 1998 Issued
Array ( [id] => 4405449 [patent_doc_number] => 06171879 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-01-09 [patent_title] => 'Methods of forming thermo-mechanical sensor' [patent_app_type] => 1 [patent_app_number] => 9/222171 [patent_app_country] => US [patent_app_date] => 1998-12-29 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 4 [patent_figures_cnt] => 5 [patent_no_of_words] => 5867 [patent_no_of_claims] => 16 [patent_no_of_ind_claims] => 2 [patent_words_short_claim] => 127 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/171/06171879.pdf [firstpage_image] =>[orig_patent_app_number] => 222171 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/222171
Methods of forming thermo-mechanical sensor Dec 28, 1998 Issued
Array ( [id] => 4343504 [patent_doc_number] => 06284570 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-09-04 [patent_title] => 'Method of manufacturing a semiconductor component from a conductive substrate containing a plurality of vias' [patent_app_type] => 1 [patent_app_number] => 9/221433 [patent_app_country] => US [patent_app_date] => 1998-12-28 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 4 [patent_figures_cnt] => 10 [patent_no_of_words] => 3165 [patent_no_of_claims] => 17 [patent_no_of_ind_claims] => 3 [patent_words_short_claim] => 45 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/284/06284570.pdf [firstpage_image] =>[orig_patent_app_number] => 221433 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/221433
Method of manufacturing a semiconductor component from a conductive substrate containing a plurality of vias Dec 27, 1998 Issued
Array ( [id] => 4408911 [patent_doc_number] => 06228735 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-05-08 [patent_title] => 'Method of fabricating thin-film transistor' [patent_app_type] => 1 [patent_app_number] => 9/212086 [patent_app_country] => US [patent_app_date] => 1998-12-15 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 5 [patent_figures_cnt] => 15 [patent_no_of_words] => 1876 [patent_no_of_claims] => 12 [patent_no_of_ind_claims] => 2 [patent_words_short_claim] => 132 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/228/06228735.pdf [firstpage_image] =>[orig_patent_app_number] => 212086 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/212086
Method of fabricating thin-film transistor Dec 14, 1998 Issued
Array ( [id] => 4405035 [patent_doc_number] => 06232150 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-05-15 [patent_title] => 'Process for making microstructures and microstructures made thereby' [patent_app_type] => 1 [patent_app_number] => 9/204473 [patent_app_country] => US [patent_app_date] => 1998-12-03 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 9 [patent_figures_cnt] => 27 [patent_no_of_words] => 8001 [patent_no_of_claims] => 23 [patent_no_of_ind_claims] => 3 [patent_words_short_claim] => 98 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/232/06232150.pdf [firstpage_image] =>[orig_patent_app_number] => 204473 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/204473
Process for making microstructures and microstructures made thereby Dec 2, 1998 Issued
Array ( [id] => 4151690 [patent_doc_number] => 06124147 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2000-09-26 [patent_title] => 'Method for fabricating optoelectronic device in low-temperature deposition and thermal treatment' [patent_app_type] => 1 [patent_app_number] => 9/195691 [patent_app_country] => US [patent_app_date] => 1998-11-19 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 4 [patent_figures_cnt] => 7 [patent_no_of_words] => 4011 [patent_no_of_claims] => 8 [patent_no_of_ind_claims] => 1 [patent_words_short_claim] => 109 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/124/06124147.pdf [firstpage_image] =>[orig_patent_app_number] => 195691 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/195691
Method for fabricating optoelectronic device in low-temperature deposition and thermal treatment Nov 18, 1998 Issued
Array ( [id] => 4245516 [patent_doc_number] => 06136631 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2000-10-24 [patent_title] => 'Method for producing a microelectronic integrated cantilever' [patent_app_type] => 1 [patent_app_number] => 9/195935 [patent_app_country] => US [patent_app_date] => 1998-11-19 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 2 [patent_figures_cnt] => 3 [patent_no_of_words] => 3220 [patent_no_of_claims] => 4 [patent_no_of_ind_claims] => 2 [patent_words_short_claim] => 158 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/136/06136631.pdf [firstpage_image] =>[orig_patent_app_number] => 195935 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/195935
Method for producing a microelectronic integrated cantilever Nov 18, 1998 Issued
Array ( [id] => 4271401 [patent_doc_number] => 06323109 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-11-27 [patent_title] => 'Laminated SOI substrate and producing method thereof' [patent_app_type] => 1 [patent_app_number] => 9/187846 [patent_app_country] => US [patent_app_date] => 1998-11-06 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 3 [patent_figures_cnt] => 15 [patent_no_of_words] => 2366 [patent_no_of_claims] => 6 [patent_no_of_ind_claims] => 2 [patent_words_short_claim] => 84 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/323/06323109.pdf [firstpage_image] =>[orig_patent_app_number] => 187846 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/187846
Laminated SOI substrate and producing method thereof Nov 5, 1998 Issued
Array ( [id] => 4407291 [patent_doc_number] => 06238990 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-05-29 [patent_title] => 'Method for heat treatment of SOI wafer and SOI wafer heat-treated by the method' [patent_app_type] => 1 [patent_app_number] => 9/185901 [patent_app_country] => US [patent_app_date] => 1998-11-04 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 2 [patent_figures_cnt] => 3 [patent_no_of_words] => 4670 [patent_no_of_claims] => 4 [patent_no_of_ind_claims] => 1 [patent_words_short_claim] => 75 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/238/06238990.pdf [firstpage_image] =>[orig_patent_app_number] => 185901 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/185901
Method for heat treatment of SOI wafer and SOI wafer heat-treated by the method Nov 3, 1998 Issued
Array ( [id] => 4084083 [patent_doc_number] => 06162699 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2000-12-19 [patent_title] => 'Method for generating limited isolation trench width structures and a device having a narrow isolation trench surrounding its periphery' [patent_app_type] => 1 [patent_app_number] => 9/181561 [patent_app_country] => US [patent_app_date] => 1998-10-29 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 9 [patent_figures_cnt] => 19 [patent_no_of_words] => 2987 [patent_no_of_claims] => 16 [patent_no_of_ind_claims] => 2 [patent_words_short_claim] => 69 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/162/06162699.pdf [firstpage_image] =>[orig_patent_app_number] => 181561 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/181561
Method for generating limited isolation trench width structures and a device having a narrow isolation trench surrounding its periphery Oct 28, 1998 Issued
Array ( [id] => 4274724 [patent_doc_number] => 06281034 [patent_country] => US [patent_kind] => NA [patent_issue_date] => 2001-08-28 [patent_title] => 'Solid-state imaging device and manufacturing method thereof and semiconductor device manufacturing method' [patent_app_type] => 1 [patent_app_number] => 9/166901 [patent_app_country] => US [patent_app_date] => 1998-10-06 [patent_effective_date] => 0000-00-00 [patent_drawing_sheets_cnt] => 4 [patent_figures_cnt] => 10 [patent_no_of_words] => 4224 [patent_no_of_claims] => 7 [patent_no_of_ind_claims] => 1 [patent_words_short_claim] => 71 [patent_maintenance] => 1 [patent_no_of_assignments] => 0 [patent_current_assignee] =>[type] => patent [pdf_file] => patents/06/281/06281034.pdf [firstpage_image] =>[orig_patent_app_number] => 166901 [rel_patent_id] =>[rel_patent_doc_number] =>)
09/166901
Solid-state imaging device and manufacturing method thereof and semiconductor device manufacturing method Oct 5, 1998 Issued
Menu